Адміністрація вирішила продати даний сайт. За детальною інформацією звертайтесь за адресою: rozrahu@gmail.com

Проектування сканеру клавіатури із застосуванням діаграм скінчених автоматів для опису об'єктів в САПР Active-HDL

Інформація про навчальний заклад

ВУЗ:
Національний університет Львівська політехніка
Інститут:
ІКТА
Факультет:
КН
Кафедра:
Кафедра ЕПМС

Інформація про роботу

Рік:
2014
Тип роботи:
Лабораторна робота
Предмет:
Моделювання комп ютерних систем

Частина тексту файла

Міністерство освіти і науки України Національний університет “Львівська політехніка” Кафедра «Електронні обчислювальні машини» / Лабораторна робота №7 “ Проектування сканеру клавіатури із застосуванням діаграм скінчених автоматів для опису об'єктів в САПР Active-HDL ” з дисципліни: “ Моделювання комп'ютерних систем ” Львів – 2014 Порядок виконання роботи: 1. Створити новий порожній проект в Active-HDL. 2. Виходячи з основного принципу роботи сканера клавіатури, визначити основні стани пристрою і умови переходу від одного стану до іншого. 3. Визначити інтерфейс системи. 4. За допомогою Add New File і State Diagram Wizard створити макет діаграми скінчених автоматів. 5. Скласти діаграму скінчених автоматів. 1. Використовуючи кнопку робочої панелі FSM State Editor, створити в робочій області необхідну кількість кружків відповідно до кількості можливих станів сканера (S0, S1, ...), які були визначені в п.2. 2. Використовуючи кнопку робочої панелі FSM State Editor, відобразити за допомогою стрілок зв'язки між станами. 3. Оскільки як в режимі очікування, так і в режимі сканування потрібно перевіряти, чи має хоча б один з сигналів Ret значення '1', то буде зручно ввести локальний сигнал Cond = (Ret(0) or Ret(1) or Ret(2) or Ret(3)) за допомогою кнопки робочої панелі FSM State Editor. 4. Використовуючи кнопку робочої панелі FSM State Editor, визначити умови переходів між станами. 5. Використовуючи кнопку робочої панелі FSM State Editor, визначити дії, що виконуються в кожному стані скінченого автомата. 6. Використовуючи кнопку робочої панелі FSM State Editor, додати джерело діаграми, встановивши його на стан S0. 7. Ознайомитись з властивостями кожного об'єкту (параметрами, діями, умовами). Для відкриття відповідного діалогового вікна слід навести курсор на об'єкт, клацнути правою кнопкою миші і вибрати пункт "Properties" з локального меню. 6. Послідовним натисненням кнопок і сформувати і відобразити VHDL-код, що відповідає створеній діаграмі скінчених автоматів. 7. Вивчити відповідність графічних об'єктів діаграми і VHDL-коду. 8. Скомпілювати пристрій та промоделювати його роботу. 9. Підготувати звіт до захисту. 1. Режим очікування: 1. На всі рядки матриці клавіатури, які позначені Scan(0), Scan(1), Scan(2), Scan(3) подається логічна одиниця. 2. Всі стовбці матриці клавіатури, позначені Ret(0), Ret(1), Ret(2), Ret(3) мають низький рівень сигналу. 3. Рівень сигналів Ret перевіряється з кожним синхронізуючим імпульсом ClLK, і, якщо один з них змінить своє значення з '0' на '1', це сигналізує про натиснення однієї з клавіш. При цьому сканер переходить в наступний режим. 2. Режим сканування: 1. На рядок Scan(0) подається сигнал високого рівня, а на всі інші рядки - низького рівня. 2. Перевіряється рівень сигналів Ret. 3. Якщо рівень одного з Ret (наприклад, Ret(1)) зміниться з "низького" на "високий", це означає, що було замкнено контакт, який розташований на перетині рядка Scan(0) та стовбця Ret(1). Тобто номер елемента матриці клавіатури, якому відповідає натиснена клавіша, знайдено. 4. Сканер передає цю інформацію у кодер для формування відповідного коду обраної цифри або операції та знову переходить до режиму очікування. 5. Якщо рівень всіх рядків Ret залишається низьким, то проводиться перевірка наступного рядка матриці, і т.д. Мета роботи Вивчити принцип роботи сканера клавіатури. Отримати навички проектування цифрових пристроїв за допомогою скінчених автоматів засобами Active-HDL. Функціональна схема сканера клавіатури / Основні стани сканеру клавіатури і умови переходу від одного стану до іншого Основні стани: S0, S1, S2, S3, S4, S5 S0 – початковий стан, режим очікування, якщо не відбувається зміна сигналу Ret(0) .. Ret(3) стан зберігається, якщо сигнал Ret(0) .. Ret(3) змінюється змінна Cond набуває значення ‘1’ і відбувається перехід в стан S1, змінній KeyCode присвоюється значення “00000000” S1 – в цьому ста...
Антиботан аватар за замовчуванням

27.05.2014 23:05

Коментарі

Ви не можете залишити коментар. Для цього, будь ласка, увійдіть або зареєструйтесь.

Завантаження файлу

Якщо Ви маєте на своєму комп'ютері файли, пов'язані з навчанням( розрахункові, лабораторні, практичні, контрольні роботи та інше...), і Вам не шкода ними поділитись - то скористайтесь формою для завантаження файлу, попередньо заархівувавши все в архів .rar або .zip розміром до 100мб, і до нього невдовзі отримають доступ студенти всієї України! Ви отримаєте грошову винагороду в кінці місяця, якщо станете одним з трьох переможців!
Стань активним учасником руху antibotan!
Поділись актуальною інформацією,
і отримай привілеї у користуванні архівом! Детальніше

Оголошення від адміністратора

Антиботан аватар за замовчуванням

пропонує роботу

Admin

26.02.2019 12:38

Привіт усім учасникам нашого порталу! Хороші новини - з‘явилась можливість кожному заробити на своїх знаннях та вміннях. Тепер Ви можете продавати свої роботи на сайті заробляючи кошти, рейтинг і довіру користувачів. Потрібно завантажити роботу, вказати ціну і додати один інформативний скріншот з деякими частинами виконаних завдань. Навіть одна якісна і всім необхідна робота може продатися сотні разів. «Головою заробляти» продуктивніше ніж руками! :-)

Новини